Sleepy stack: a New Approach to Low Power VLSI Logic and Memory

Similar documents
Pareto Points in SRAM Design Using the Sleepy Stack Approach

Pareto Points in SRAM Design Using the Sleepy Stack Approach

Pareto Points in SRAM Design Using the Sleepy Stack Approach. Abstract

Australian Journal of Basic and Applied Sciences. Performance Analysis of Different Types of Adder Using 3-Transistor XOR Gate

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET)

Design of 32 bit Parallel Prefix Adders

Design of a High Speed Adder

Design of Low Power and High Speed Carry Select Adder Using Brent Kung Adder

Design of 64-bit hybrid carry select adder using CMOS 32nm Technology

Design of 16-Bit Adder Structures - Performance Comparison

Design of High Speed Vedic Multiplier Using Carry Select Adder with Brent Kung Adder

A Novel Approach For Error Detection And Correction Using Prefix-Adders

Design of Carry Select Adder with Binary Excess Converter and Brent Kung Adder Using Verilog HDL

Designing, simulation and layout of 6bit full adder in cadence software

16-BIT CARRY SELECT ADDER. Anushree Garg B.Tech Scholar, JVW, University, Rajasthan, India

REVIEW OF CARRY SELECT ADDER BY USING BRENT KUNG ADDER

Design of Carry Select Adder Using Brent Kung Adder and BEC Adder

Comparative Analysis of Adders Parallel-Prefix Adder for Their Area, Delay and Power Consumption

Implementation and Estimation of Delay, Power and Area for Parallel Prefix Adders

Design and Estimation of delay, power and area for Parallel prefix adders

Design of Modified Low Power and High Speed Carry Select Adder Using Brent Kung Adder

Implementation of 16-Bit Area Efficient Ling Carry Select Adder

Comparison of Parallel Prefix Adders Performance in an FPGA

DESIGN AND SIMULATION OF 4-BIT ADDERS USING LT-SPICE

DEVISE AND INFERENCE OF DELAY, POWER AND AREA FOR ANALOGOUS PREFIX ADDERS

Design of Low Power and High Speed Carry Select Adder Using Brent Kung Adder

FPGA Implementation of Efficient 16-Bit Parallel Prefix Kogge Stone Architecture for Convolution Applications Geetha.B 1 Ramachandra.A.

Lecture 2: Challenges and Opportunities in System LSI (1) Devices and Circuits

MGL Avionics EFIS G2 and iefis. Guide to using the MGL RDAC CAN interface with the UL Power engines

FPGA-based Emotional Behavior Design for Pet Robot

A COMPREHENSIVE SURVEY ON VARIOUS ADDERS AND ITS COMPACTION RESULT

A Column Generation Algorithm to Solve a Synchronized Log-Truck Scheduling Problem

utca mother board for FMC ADC daughter cards

PetSpy Advanced Dog Training System, Model M86N

Modeling and Control of Trawl Systems

A Flexible natural gas membrane Reformer for m- CHP applications FERRET

Dynamic Programming for Linear Time Incremental Parsing

FAQ (Frequently Asked Questions)

Pixie-7P. Battery Connector Pixie-7P Fuse* Motor. 2.2 Attaching the Motor Leads. 1.0 Features of the Pixie-7P: Pixie-7P Batt Motor

Improving RLP Performance by Differential Treatment of Frames

An Esterel Virtual Machine (EVM) Aruchunan Vaseekaran

IQ Range. Electrical Data 3-Phase Power Supplies. Keeping the World Flowing

PetSpy Premium Dog Training Collar, Models M919-1/M919-2

288 Seymour River Place North Vancouver, BC V7H 1W6

Single Port Modular Jacks

Lab 7: Experimenting with Life and Death

FCI LT LM UNDERGROUND

Interstate-5, Exit 260 Slater Road. Corridor Report and Preliminary Interchange Justification Evaluation

RESPONSIBLE ANTIMICROBIAL USE

A Flexible natural gas membrane Reformer for m- CHP applications FERRET

REPORT ON SCOTTISH EID TRIALS

STUDY BEHAVIOR OF CERTAIN PARAMETERS AFFECTING ASSESSMENT OF THE QUALITY OF QUAIL EGGS BY COMPUTER VISION SYSTEM

GAO Earned Value Management (EVM) Audit Findings

S Fault Indicators. S.T.A.R. Type CR Faulted Circuit Indicator Installation Instructions. Contents PRODUCT INFORMATION

HCM 6: Highway Capacity Manual: A Guide for Multimodal Mobility Analysis

Simulation of the ASFA system in an ERTMS simulator

Microchipping Works: Best Practices

Drive More Efficient Clinical Action by Streamlining the Interpretation of Test Results

5 State of the Turtles

Pet Selective Automated Food Dispenser

Loose Leash Walking. Core Rules Applied:

A Peek Into the World of Streaming

DIGITUS Network Cabinet Unique Series, 600, 800 mm width - 600, 800, 1000, 1200 mm depth

of Conferences of OIE Regional Commissions organised since 1 June 2013 endorsed by the Assembly of the OIE on 29 May 2014

Define evidence based practices for selection and duration of antibiotics to treat suspected or confirmed neonatal sepsis

2. From where the latest Software Development Kit for the EVM can be downloaded?

Course # Course Name Credits

TPS204xB/TPS205xB Current-Limited, Power-Distribution Switches data sheet (SLVS514)

User s Guide. High Performance Linear Products SLOU119

[Boston March for Science 2017 photo Hendrik Strobelt]

Feasibility Study for the Duke Energy Florida Suwannee River Plant 214 MW Net Summer / 232 MW Net Winter 230 kv Combustion Turbine December 2013

EVM in Practice. Strategic Control throughout Project Life Cycle. Peerapong Aramvareekul, Ph.D., PMP, PSP, EVP Ronnie D. Stephens

ALTO Implementations and Use Cases: A Brief Survey. S. Chen, X. Lin, D. Lachos, Y. Yang, C. Rothenberg. IETF 102 July 16, 2018 Montreal

FEEDING EWES BETTER FOR INCREASED PRODUCTION AND PROFIT. Dr. Dan Morrical Department of Animal Science Iowa State University, Ames, Iowa

FIF CAT WG Discussion Document Firm-Designated ID Walk-Through Originally Submitted: April 8, 2013, Updated August 5, 2014

The Impact of Gigabit LTE Technologies on the User Experience

BLUE MOUNTAIN OSTRICH ALLIANCE

Optoacoustic imaging of an animal model of prostate cancer

Multi-Frequency Study of the B3 VLA Sample. I GHz Data

HBEAM 3.5 SPECIFICATIONS. QuickShip. HB3.5 Suspended, Wall. alwusa.com/hb35. Eligible. Find additional images and information at

Effective Vaccine Management Initiative

AmpFlex Flexible Current Probes

Effects of Cage Stocking Density on Feeding Behaviors of Group-Housed Laying Hens

328 A Russell Senate Office Building United States Senate

2. From where the latest Software Development Kit for the EVM can be downloaded?

ABSTRACT. This paper describes the project with emphasis on the dog-collar hardware, behavior-classification software, and feasibility testing.

A Unique Approach to Managing the Problem of Antibiotic Resistance

IEEE Std 592 Test Program using Current Cable Accessories and Installation Practices

COOPER POWER SERIES. S.T.A.R. PATHFINDER variable trip TPR faulted circuit indicator installation instructions. Fault indicators MN320003EN

Getting Started. Instruction Manual

The Drive for Innovation in Systems Engineering

IMPROVEMENT OF SENSORY ODOUR INTENSITY SCALE USING 1-BUTANOL FOR ENVIRONMENTAL ODOUR EVALUATION

Responsible Antimicrobial Use

Distribution Unlimited

State Machines and Statecharts

EARNED VALUE MANAGEMENT WHAT IS IT AND WHY SHOULD I CARE?

Kumeu/Huapai Waitakere to Swanson Public Transport Options

INFLUENCE OF FEED QUALITY ON THE EXPRESSION OF POST WEANING GROWTH ASBV s IN WHITE SUFFOLK LAMBS

NATURA CAGE-FREE. Modern aviary system for barn and free range egg production

Nonlethal Small-Vessel Stopping With High-Power Microwave Technology

Transcription:

Sleepy stack: a New Approach to Low Power VLSI Logic and Memory Ph.D. Dissertation Defense by Jun Cheol Park Advisor: Vincent J. Mooney III School of Electrical and Computer Engineering Georgia Institute of Technology June 2005 2005 Georgia Institute of Technology

Outline Introduction Related Work Sleepy stack Sleepy stack logic circuits Sleepy stack SRAM Low-power pipelined cache (LPPC) Sleepy stack pipelined SRAM Conclusion 2005 Georgia Institute of Technology 2

Power consumption Power consumption of VLSI is a fundamental problem of mobile devices as well high-performance computers Limited operation (battery life) Heat Operation cost Power = dynamic + static Dynamic power more than 90% of total power (0.18u tech. and above) Dynamic power reduction: Technology scaling Frequency scaling Voltage scaling IBM PowerPC 970* *N. Rohrer et al., PowerPC 970 in 130nm and 90nm Technologies," IEEE International Solid-State circuits Conference, vol 1, pp. 68-69, February 2004. 2005 Georgia Institute of Technology 3

Motivation Ultra-low leakage power A cell phone calling plan with 500min (per month 43,200min) Leakage reduction technique can potentially increase battery life 34X State-saving Prior ultra-low-leakage techniques (e.g., sleep transistor) lose logic state thus requires long wake-up time Users can use a cell phone without waiting long wake-up time Emergency calling situation Best prior work (forced stack) Active (W) Leakeage (W) Energy (J) (Month) *Assume chip area ½ processor logic and ½ memory, no on-chip memory, leakage power matches to dynamic power at 0.07u tech. Active (W) Our approach (sleepy stack) Leakage (W) Energy (J) (Month) Proc. 1.71E-01 1.34E-01 3.49E+05 1.82E-01 7.57E-04 7.39E+03 32KB SRAM 3.83E-02 6.99E-02 1.80E+05 4.10E-02 2.74E-03 8.26E+03 Total 2.09E-01 2.04E-01 5.30E+05 2.23E-01 3.50E-03 1.56E+04 Power consumption scenario for 0.07u tech, processor 2005 Georgia Institute of Technology 4

Leakage power Dynamic Power Leakage Power Leakage power became important as the feature size shrinks Subthreshold leakage Scaling down of Vth: Leakage increases exponentially as Vth decreases Short-channel effect: channel controlled by drain Our research focus Gate-oxide leakage Gate tunneling due to thin oxide High-k dielectric could be a solution 1.00E-04 1.00E-05 1.00E-06 1.00E-07 1.00E-08 1.00E-09 1.00E-10 0.18u 0.13u 0.10u 0.07u Experimental result 4-bit adder* Source Gate Drain n+ n+ Subthreshold Leakage current P-substrate NFET Gate-oxide Leakage current *Berkeley Predictive Technology Model (BPTM). [Online]. Available http://www-device.eecs.berkeley.edu/~ptm. 2005 Georgia Institute of Technology 5

Contribution Design of novel ultra-low leakage sleepy stack which savies state Design of sleepy stack SRAM cell which provides new pareto points in ultra-low leakage power consumption Design of low-power pipelined cache and find optimal number of pipeline stages in the given architecture Design of sleepy stack pipelined SRAM for lowleakage 2005 Georgia Institute of Technology 6

Outline Introduction Related Work Sleepy stack Sleepy stack logic circuits Sleepy stack SRAM Low-power pipelined cache (LPPC) Sleepy stack pipelined SRAM Conclusion 2005 Georgia Institute of Technology 7

Low-leakage CMOS VLSI Sleep transistor Multi-threshold-voltage CMOS (MTCMOS) [Mutoh95] Loses state and incurs high wake-up cost Zigzag [Min03] Non-floating by asserting a predefined input during sleep mode Only retain predefined state Stack [Narendra01] Stack effect: when two or more stacked transistors turned off together, leakage power is suppressed Forcing stack Cannot utilize high-vth without huge delay penalties (>6.2X) (we will show for less, e.g., 2.8X) Logic Network Sleep transistor 2005 Georgia Institute of Technology 8

Low-leakage CMOS VLSI Sleep transistor Multi-threshold-voltage CMOS (MTCMOS) [Mutoh95] Loses state and incurs high wake-up cost Zigzag [Min03] Non-floating by asserting a predefined input during sleep mode 0 Only retain predefined state Stack [Narendra01] Stack effect: when two or more stacked transistors turned off together, leakage power is suppressed Forcing stack Cannot utilize high-vth without huge delay penalties (>6.2X) (we will show for less, e.g., 2.8X) S Pullup Network A Pulldown Network B 1 S Pullup Network A Pulldown Network B 0 Zigzag Pullup Network A Pulldown Network B 2005 Georgia Institute of Technology 9 S 1

Low-leakage CMOS VLSI Sleep transistor Multi-threshold-voltage CMOS (MTCMOS) [Mutoh95] Loses state and incurs high wake-up cost Zigzag [Min03] Non-floating by asserting a predefined input during sleep mode Only retain predefined state Stack [Narendra01] Stack effect: when two or more stacked transistors turned off together, leakage power is suppressed Forcing stack Cannot utilize high-vth without huge delay penalties (>6.2X) (we will show for less, e.g., 2.8X) 2 4 2 2 1 1 Forcing stack 2005 Georgia Institute of Technology 10

Low-leakage CMOS VLSI comparison summary Sleepy stack approaches for logic circuits Saves exact state, so does not need to restore original state (unlike the sleep transistor technique and the zigzag technique) Larger leakage power saving (forced stack) 2005 Georgia Institute of Technology 11

Low-leakage SRAM Auto-Backgate-Controlled Multi Threshold CMOS (ABC-MTCMOS) [Nii98] Reverse source-body bias during sleep mode Slow transition and large dynamic power to charge n-wells Gated-Vdd [Powell00](Prof. K. Roy) Isolate SRAM cells using sleep transistor Loses state during sleep mode Drowsy cache [Flautner02] Scaling Vdd dynamically Smaller leakage reduction (<86%) (we will show 3 orders magnitude reduction) Vdd High-Vdd Gate Source Drain p+ p+ n-well p-substrate ABC-MTCMOS 2005 Georgia Institute of Technology 12

Low-leakage SRAM Auto-Backgate-Controlled Multi Threshold CMOS (ABC-MTCMOS) [Nii98] Reverse source-body bias during sleep mode Slow transition and large dynamic power to charge n-wells Gated-Vdd [Powell00](Prof. K. Roy) Isolate SRAM cells using sleep transistor Loses state during sleep mode Drowsy cache [Flautner02] Scaling Vdd dynamically Smaller leakage reduction (<86%) (we will show 3 orders magnitude reduction) bitline Gated-VDD control VDD VGND Gated-VDD wordline bitline *Intel introduces 65-nm sleep transistor SRAM from Intel.com, 65-nm process technology extends the benefit of Moore s law 2005 Georgia Institute of Technology 13

Low-leakage SRAM Auto-Backgate-Controlled Multi Threshold CMOS (ABC-MTCMOS) [Nii98] Reverse source-body bias during sleep mode Slow transition and large dynamic power to charge n-wells Gated-Vdd [Powell00](Prof. K. Roy) Isolate SRAM cells using sleep transistor Loses state during sleep mode Drowsy cache [Flautner02] Scaling Vdd dynamically Smaller leakage reduction (<86%) (we will show 3 orders magnitude reduction) wordline bit VDDH VDDL N3 LowVolt LowVolt P2 P1 N2 N1 Drowsy cache N4 bit 2005 Georgia Institute of Technology 14

Low-power pipelined cache Breaking down a cache into multiple segment cache can be pipelined to increase performance [Chappell91][Agarwal03] No power reduction addressed Pipelining caches to offset performance degradation and pipelined cache [Gunadi04] Saving power by enabling necessary subbank Only dynamic power is addresses (0.18u technology) 2005 Georgia Institute of Technology 15

Low-leakage SRAM and low power cache comparison Sleepy stack SRAM cell No need to charge n-well (ABC-MTCMOS) State-saving (gated-vdd) Larger leakage power savings (drowsy cache) No prior work found that uses a pipelined cache to reduce dynamic power by scaling Vdd or reducing static power 2005 Georgia Institute of Technology 16

Outline Introduction Related Work Sleepy stack Sleepy stack logic circuits Sleepy stack SRAM Low-power pipelined cache (LPPC) Sleepy stack pipelined SRAM Conclusion 2005 Georgia Institute of Technology 17

Introduction of sleepy stack New state-saving ultra low-leakage technique Combination of the sleep transistor and forced stack technique Applicable to generic VLSI structures as well as SRAM Target application requires long standby with fast response, e.g., cell phone 2005 Georgia Institute of Technology 18

Sleepy stack structure S W/L=3 W/L=3 W/L=6 W/L=3 W/L=3 W/L=1.5 S W/L=1.5 W/L=1.5 Conventional CMOS inverter Sleepy stack stack inverter First, Break down a transistor similar to the forced stack technique Then add sleep transistors 2005 Georgia Institute of Technology 19

Sleepy stack operation On S=0 Off S=1 W/L=3 W/L=3 Stack effect Low-Vth W/L=3 W/L=1.5 Stack effect High-Vth On S =1 Off S =0 W/L=1.5 W/L=1.5 Active mode Sleep mode During active mode, sleep transistors are on, then reduced resistance increases current while reducing delay During sleep mode, sleep transistors are off, stacked transistors suppress leakage current while saving state Can apply high-vth, which is not used in the forced stack technique due to the dramatic delay increase (>6.2X) 2005 Georgia Institute of Technology 20

Outline Introduction Related Work Sleepy stack Sleepy stack logic circuits Sleepy stack SRAM Low-power pipelined cache (LPPC) Sleepy stack pipelined SRAM Conclusion 2005 Georgia Institute of Technology 21

Experimental methodology Five techniques are compared base case, forced stack, sleep*, zigzag* and sleepy stack* (*single- and dual-vth applied) Three benchmark circuits are used (CL=3Cinv) A chain of 4 inverters 4 inverter chain Cin=3Cinv, CL=3Cinv 4:1 multiplexer 5 stages standard cell gates Cin=11Cinv, CL=3Cinv 4-bit adder 4 full adders complex gate Cin=18.5Cinv, CL=3Cinv 2005 Georgia Institute of Technology 22

Experimental methodology Area estimated by scaling down 0.18µ layout Dynamic power, static power and worst-case delay of each benchmark circuit measured Scaling down Area estimation Tech. Layout (Cadence Virtuoso) Schematics from layout HSPICE (Synopsys HSPICE) Power and delay estimation 0.07µ 0.10µ 0.13µ NCSU Cadence design kit* TSMC 0.18µ BPTM** 0.18µ, 0.13µ, 0.10µ, 0.07µ 0.18µ VDD 0.8V 1.0V 1.3V 1.8V *NC State University Cadence Tool Information. [Online]. Available http://www.cadence.ncsu.edu. **Berkeley Predictive Technology Model (BPTM). [Online]. Available http://www-device.eecs.berkeley.edu/~ptm. 2005 Georgia Institute of Technology 23

A chain of 4 inverters * Dual-Vth applied (0.2V and 0.4V) (a) Static power (W) (b) Dynamic power (W) 1.E-07 1.E-04 1.E-08 1.E-09 1.E-10 1.E-05 1.E-11 Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack* 1.E-12 1.E-13 1.E-14 1.E-15 1.E-16 3.0E-10 TSMC 0.18u Berkeley 0.18u Berkeley 0.13u Berkeley 0.10u Berkeley 0.07u 1.E-06 1.E-07 Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack* (c) Propagation delay (s) (d) Area (µ 2 ) 100 2.5E-10 2.0E-10 1.5E-10 10 1.0E-10 5.0E-11 0.0E+00 1 2005 Georgia Institute of Technology 24 Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack* Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack*

A chain of 4 inverters Compared mainly to forced stack (best prior leakage technique while saving state) Compared to forced stack, sleepy stack with dual-vth achieves 215X reduction in leakage power with 6% decrease in delay Sleepy stack is 73% and 51% larger than base case and forced stack, respectively 0.07u tech. A chain of 4 inverters Propagation delay (s) Static Power (W) Dynamic Power (W) Area (µ2) Base case 7.05E-11 1.57E-08 1.34E-06 5.23 Stack 2.11E-10 9.81E-10 1.25E-06 5.97 Sleep 1.13E-10 2.45E-09 1.39E-06 10.67 ZigZag 1.15E-10 1.96E-09 1.34E-06 7.39 Sleepy Stack 1.45E-10 1.69E-09 1.08E-06 9.03 Sleep (dual Vth) 1.69E-10 4.12E-12 1.46E-06 10.67 ZigZag (dual Vth) 1.67E-10 4.07E-12 1.39E-06 7.39 Sleepy Stack (dual Vth) 1.99E-10 4.56E-12 1.09E-06 9.03 2005 Georgia Institute of Technology 25

4:1 Multiplexer (a) Static power (W) (b) Dynamic power (W) 1.E-06 1.E-04 TSMC 0.18u 1.E-07 Berkeley 0.18u Berkeley 0.13u 1.E-08 Berkeley 0.10u Berkeley 0.07u 1.E-09 1.E-05 * Dual-Vth applied (0.2V and 0.4V) 1.E-10 1.E-11 1.E-12 1.E-06 Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack* Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack* 8.0E-10 (c) Propagation delay (s) (d) Area (µ 2 ) 1000 7.0E-10 6.0E-10 5.0E-10 4.0E-10 100 3.0E-10 2.0E-10 1.0E-10 0.0E+00 10 2005 Georgia Institute of Technology 26 Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack* Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack*

4:1 Multiplexer Compared to forced stack, sleepy stack with dual-vth achieves 202X reduction in leakage power with 7% increase in delay Sleepy stack is 150% and 118% larger than base case and forced stack, respectively 0.07u tech. 4:1 multiplexer Propagation delay (s) Static Power (W) Dynamic Power (W) Area (µ2) Base case 1.39E-10 8.57E-08 2.49E-06 50.17 Stack 4.52E-10 6.46E-09 2.14E-06 57.40 Sleep 1.99E-10 1.65E-08 2.10E-06 74.11 ZigZag 2.17E-10 1.36E-08 2.54E-06 74.36 Sleepy Stack 3.35E-10 1.09E-08 2.18E-06 125.33 Sleep (dual Vth) 2.87E-10 2.41E-11 2.15E-06 74.11 ZigZag (dual Vth) 3.28E-10 3.62E-11 2.59E-06 74.36 Sleepy Stack (dual Vth) 4.84E-10 3.20E-11 2.09E-06 125.33 2005 Georgia Institute of Technology 27

4-bit adder (a) Static power (W) (b) Dynamic power (W) 1.E-06 1.E-03 TSMC 0.18u 1.E-07 Berkeley 0.18u Berkeley 0.13u 1.E-08 Berkeley 0.10u 1.E-04 Berkeley 0.07u 1.E-09 * Dual-Vth applied (0.2V and 0.4V) 1.E-10 1.E-05 1.E-11 1.E-12 1.E-06 Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack* Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack* 1.8E-09 (c) Propagation delay (s) (d) Area (µ 2 ) 1000 1.6E-09 1.4E-09 1.2E-09 1.0E-09 8.0E-10 100 6.0E-10 4.0E-10 2.0E-10 0.0E+00 10 Base case Stack Sleep ZigZag Sleepy Stack Sleep* ZigZag* Sleepy Stack* Base case Stack Sleep ZigZag 2005 Georgia Institute of Technology 28 Sleepy Stack Sleep* ZigZag* Sleepy Stack*

4-bit adder Compared to forced stack, sleepy stack with dual-vth achieves 190X reduction in leakage power with 6% increase in delay Sleepy stack is 187% and 113% larger than base case and forced stack, respectively 0.07u tech 4-bit adder Propagation delay (s) Static Power (W) Dynamic Power (W) Area (µ2) Base case 3.76E-10 8.87E-08 8.81E-06 22.96 Stack 1.16E-09 6.77E-09 7.63E-06 30.94 Sleep 5.24E-10 1.24E-08 9.03E-06 30.94 ZigZag 5.24E-10 9.09E-09 8.44E-06 27.62 Sleepy Stack 8.65E-10 1.07E-08 7.70E-06 65.88 Sleep (dual Vth) 7.48E-10 2.23E-11 9.41E-06 30.94 ZigZag (dual Vth) 7.43E-10 2.19E-11 8.53E-06 27.62 Sleepy Stack (dual Vth) 1.23E-09 3.56E-11 7.26E-06 65.88 2005 Georgia Institute of Technology 29

Sleepy stack Vth variation 4.00E-10 (a) Delay (sec) Impact of Vth by comparing the sleepy stack and the forced stack Vth of the sleepy stack can be increased up to 0.4V while matching delay to the forced stack 215X leakage power reduction of the sleepy stack technique 3.50E-10 3.00E-10 2.50E-10 2.00E-10 1.50E-10 1.00E-10 1.00E-08 1.00E-09 1.00E-10 1.00E-11 Forced stack, low Vth only Sleepy stack, varied Vth 0.2 0.22 0.24 0.26 0.28 0.3 0.32 0.34 0.36 0.38 (b) Static power (W) Forced stack, low Vth only Sleepy stack, varied Vth 0.4 0.42 0.44 0.46 0.48 0.5 Vth 1.00E-12 0.2 0.22 0.24 0.26 0.28 0.3 0.32 0.34 0.36 0.38 0.4 0.42 0.44 0.46 0.48 Results from 4 inverters 2005 Georgia using Institute 0.07u of technology Technology 30 0.5 Vth

Forced stack transistor width variation Impact of the forced stack transistor width by comparing the sleepy stack and the forced stack using similar area Forced stack Vth=0.2V, sleepy stack (sleep and paralleled transistor) Vth=0.4V Between 2X~2.5X transistor width of the forced stack matches area with the sleepy stack Force stack is 1.5% faster but leakage power is 430X larger 80 70 60 50 40 30 20 2.20E-10 2.10E-10 2.00E-10 1.90E-10 1.80E-10 1.70E-10 1.60E-10 1.00E-07 1.00E-08 1.00E-09 1.00E-10 1.00E-11 1.00E-12 (a) Area (u 2 ) Forced stack, varied w idth Sleepy stack, fixed w idth 1 1.5 2 2.5 3 3.5 4 4.5 5xWidth (b) Delay (sec) Forced stack, varied w idth Sleepy stack, fixed w idth 1 1.5 2 2.5 3 3.5 4 4.5 5xWidth (c) Static power (W) Forced stack, varied w ith Sleepy stack, fixed w idth 1 1.5 2 2.5 3 3.5 4 4.5 5xWidth Results from 4 inverters 2005 Georgia using Institute 0.07u of technology Technology 31

Outline Introduction Related Work Sleepy stack Sleepy stack logic circuits Sleepy stack SRAM Low-power pipelined cache (LPPC) Sleepy stack pipelined SRAM Conclusion 2005 Georgia Institute of Technology 32

Sleepy stack SRAM cell Sleepy stack technique achieves ultra-low leakage power while saving state Apply the sleepy stack technique to SRAM cell design Large leakage power saving expected in cache State-saving 6-T SRAM cell is based on coupled inverters SRAM cell leakage paths Cell leakage Bitline leakage 2005 Georgia Institute of Technology 33

Sleepy stack SRAM cell Sleepy stack SRAM cell PD sleepy stack PD, WL sleepy stack PU, PD sleepy stack PU, PD, WL sleepy stack Area, delay and leakage power tradeoffs 2005 Georgia Institute of Technology 34

Experimental methodology Base case and three techniques are compared High-Vth technique, forced stack, and sleepy stack 64x64 bit SRAM array designed Area estimated by scaling down 0.18µ layout Area of 0.18u layout*(0.07u/0.18u) Power and read time using HSPICE targeting 0.07µ 1.5xVth and 2.0xVth 25 o C and 110 o C Technique Case1 Low-Vth Std Conventional 6T SRAM Case2 PD high-vth High-Vth applied to PD Case3 PD, WL high-vth High-Vth applied to PD, WL Case4 PU, PD high-vth High-Vth applied to PU, PD Case5 PU, PD, WL high-vth High-Vth applied to PU, PD, WL Case6 PD stack Stack applied to PD Case7 PD, WL stack Stack applied to PD, WL Case8 PU, PD stack Stack applied to PU, PD Case9 PU, PD, WL stack Stack applied to PU, PD, WL Case10 PD sleepy stack Sleepy stack applied to PD Case11 PD, WL sleepy stack Sleepy stack applied to PD, WL Case12 PU, PD sleepy stack Sleepy stack applied to PU, PD Case13 PU, PD, WL sleepy stack Sleepy stack applied to PU, PD, WL 2005 Georgia Institute of Technology 35

Area Unit=µ 2 4.0E+01 3.5E+01 3.0E+01 2.5E+01 2.0E+01 1.5E+01 1.0E+01 5.0E+00 0.0E+00 Low-Vth Std PD high-vth PD, WL high-vth PU, PD high-vth PU, PD, WL high-vth PD stack PD, WL stack PU, PD stack PU, PD, WL stack PD sleepy stack PD, WL sleepy stack PU, PD sleepy stack PU, PD, WL sleepy stack PU, PD, WL sleepy stack is 113% and 83% larger than base case and PU, PD, WL forced stack, respectively 2005 Georgia Institute of Technology 36

Cell read time 1.8E-10 1.7E-10 1.6E-10 1.5E-10 1.4E-10 1.3E-10 1.2E-10 1.1E-10 1.0E-10 Unit=sec 1xVth, 110C 1.5xVth, 110C 2xVth, 110C Low-Vth Std PD high-vth PD, WL high-vth PU, PD high-vth PU, PD, WL high-vth PD stack PD, WL stack PU, PD stack PU, PD, WL stack PD sleepy stack PD, WL sleepy stack PU, PD sleepy stack PU, PD, WL sleepy stack Delay: High-Vth < sleepy stack < forced stack 2005 Georgia Institute of Technology 37

Leakage power 1.0E-02 Unit=W 1.0E-03 1.0E-04 1.0E-05 1xVth, 110C 1.5xVth, 110C 2xVth, 110C 1.0E-06 Low-Vth Std PD high-vth PD, WL high-vth PU, PD high-vth PU, PD, WL high-vth PD stack PD, WL stack PU, PD stack PU, PD, WL stack PD sleepy stack PD, WL sleepy stack PU, PD sleepy stack PU, PD, WL sleepy stack At 110 o C, the worst case, leakage power: forced stack > high-vth 2xVth > sleepy stack 2xVth 2005 Georgia Institute of Technology 38

Tradeoffs Technique Leakage power (W) 1.5xVth at 110 o C Delay (sec) Area (u 2 ) Normalized leakage power Normalized delay Normalized area Case1 Low-Vth Std 1.254E-03 1.05E-10 17.21 1.000 1.000 1.000 Case2 PD high-vth 7.159E-04 1.07E-10 17.21 0.571 1.020 1.000 Case6 PD stack 7.071E-04 1.41E-10 16.22 0.564 1.345 0.942 Case10* PD sleepy stack* 6.744E-04 1.15E-10 25.17 0.538 1.102 1.463 Case10 PD sleepy stack 6.621E-04 1.32E-10 22.91 0.528 1.263 1.331 Case4 PU, PD high-vth 5.042E-04 1.07E-10 17.21 0.402 1.020 1.000 Case8 PU, PD stack 4.952E-04 1.40E-10 15.37 0.395 1.341 0.893 Case12* PU, PD sleepy stack* 4.532E-04 1.15E-10 31.30 0.362 1.103 1.818 Case12 PU, PD sleepy stack 4.430E-04 1.35E-10 29.03 0.353 1.287 1.687 Case3 PD, WL high-vth 3.203E-04 1.17E-10 17.21 0.256 1.117 1.000 Case7 PD, WL stack 3.202E-04 1.76E-10 19.96 0.255 1.682 1.159 Case11* PD, WL sleepy stack* 2.721E-04 1.16E-10 34.40 0.217 1.111 1.998 Case11 PD, WL sleepy stack 2.451E-04 1.50E-10 29.87 0.196 1.435 1.735 Case5 PU, PD, WL high-vth 1.074E-04 1.16E-10 17.21 0.086 1.110 1.000 Case9 PU, PD, WL stack 1.043E-04 1.75E-10 19.96 0.083 1.678 1.159 Case13* PU, PD, WL sleepy stack* 4.308E-05 1.16E-10 41.12 0.034 1.112 2.389 Case13 PU, PD, WL sleepy stack 2.093E-05 1.52E-10 36.61 0.017 1.450 2.127 Sleepy stack delay is matched to Case5 ( * means delay matched to Case5=best prior work) Sleepy stack SRAM provides new pareto points (blue rows) Case13 achieves 5.13X leakage reduction (with 32% delay increase), alternatively Case13* achieves 2.49X leakage reduction compared to Case5 (while matching delay to Case5) 2005 Georgia Institute of Technology 39

Tradeoffs 2.0xVth at 110 o C Technique Static (W) Delay (sec) Area (u 2 ) Normalized leakage Normalized delay Normalized area Case1 Low-Vth Std 1.25E-03 1.05E-10 17.21 1.000 1.000 1.000 Case6 PD stack 7.07E-04 1.41E-10 16.22 0.564 1.345 0.942 Case2 PD high-vth 6.65E-04 1.11E-10 17.21 0.530 1.061 1.000 Case10 PD sleepy stack 6.51E-04 1.31E-10 22.91 0.519 1.254 1.331 Case10* PD sleepy stack* 6.51E-04 1.31E-10 22.91 0.519 1.254 1.331 Case8 PU, PD stack 4.95E-04 1.40E-10 15.37 0.395 1.341 0.893 Case4 PU, PD high-vth 4.42E-04 1.10E-10 17.21 0.352 1.048 1.000 Case12* PU, PD sleepy stack* 4.31E-04 1.33E-10 29.48 0.344 1.270 1.713 Case12 PU, PD sleepy stack 4.31E-04 1.38E-10 29.03 0.344 1.319 1.687 Case7 PD, WL stack 3.20E-04 1.76E-10 19.96 0.255 1.682 1.159 Case3 PD, WL high-vth 2.33E-04 1.32E-10 17.21 0.186 1.262 1.000 Case11* PD, WL sleepy stack* 2.29E-04 1.30E-10 32.28 0.183 1.239 1.876 Case11 PD, WL sleepy stack 2.28E-04 1.62E-10 29.87 0.182 1.546 1.735 Case9 PU, PD, WL stack 1.04E-04 1.75E-10 19.96 0.083 1.678 1.159 Case5 PU, PD, WL high-vth 8.19E-06 1.32E-10 17.21 0.007 1.259 1.000 Case13* PU, PD, WL sleepy stack* 3.62E-06 1.32E-10 38.78 0.003 1.265 2.253 Case13 PU, PD, WL sleepy stack 2.95E-06 1.57E-10 36.61 0.002 1.504 2.127 Sleepy stack delay is matched to Case5 ( * means delay matched to Case5=best prior work) Sleepy stack SRAM provides new pareto points (blue rows) Case13 achieves 2.77X leakage reduction (with 19% delay increase over Case5), alternatively Case13* achieves 2.26X leakage reduction compared to Case5 (while matching delay to Case5) 2005 Georgia Institute of Technology 40

Static noise margin Technique Static noise margin (V) Active mode Sleep mode Case1 Low-Vth Std 0.299 N/A Case10 PD sleepy stack 3.167 0.362 Case11 PD, WL sleepy stack 0.324 0.363 Case12 PU, PD sleepy stack 0.299 0.384 Case13 PU, PD, WL sleepy stack 0.299 0.384 Measure noise immunity using static noise margin (SNM) SNM of the sleepy stack is similar or better than the base case 2005 Georgia Institute of Technology 41

Outline Introduction Related Work Sleepy stack Sleepy stack logic circuits Sleepy stack SRAM Low-power pipelined cache (LPPC) Sleepy stack pipelined SRAM Conclusion 2005 Georgia Institute of Technology 42

Low-power pipelined cache (LPPC) (a) Base case cycle time = 4.3 ns (b) Pipelined cache for high-performance cycle time = 2.15ns delay/2 delay VDD = 2.25V, CL=1pF f = 233Mhz, E.T. = 1sec E = ½*1pF*(2.25) 2 x 233 Mhz x 1sec = 0.589mJ delay/2 (c) Low-power pipelined cache cycle time = 4.3ns delay/2 slack delay/2 VDD = 1.25 V, CL=1pF f = 233Mhz, E.T. = 1sec E = ½*1pF(1.25) 2 x 233 Mhz x 1sec = 0.128mJ *Energy saving = 78.3% slack VDD = 2.25 V, CL=1pF f = 466Mhz, E.T. = 0.5sec E = ½*1pFX(2.25) 2 x 466 Mhz x 0.5sec = 0.589mJ 2005 Georgia Institute of Technology 43

Low-power pipelined cache (LPPC) Extra slack by splitting cache stages Generic pipelined cache increases clock frequency by reducing delay* Dynamic power reduction by lowering Vdd of caches Optimal pipeline depth to a given architecture VDD (Non-Cache) IF1 IF2 ID EX ID MEM EX MEM1 WB MEM2 WB VDD (Cache) I-cache1 I-cache2 D-cache D-cache1 D-cache2 A pipeline with low-power non-pipelined pipelined caches caches *T. Chappell, B. Chappell, S. Schuster, J. Allan, S. Klepner, R. Joshi, and R. Franch, "A 2-ns Cycle, 3.8-ns Access 512-kb CMOS ECL SRAM with a Fully Pipelined Architecture," IEEE Journal of Solid-State Circuits, vol. 26, no. 11, pp. 1577-1585, 1991. 2005 Georgia Institute of Technology 44

Pipelining techniques Latched-pipelining Place latches in-between stages Typically used for pipelined processor Easy to implement When applied to the cache pipelining, the delay of each pipeline stage could be different Wave-pipelining Use existing gates as a virtual storage element Even distribution of delay is potentially possible Complex timing analysis is required Used for industry SRAM design UltraSPARC-IV uses wave-pipelined SRAM (90nm tech)* Hitachi designs 300-Mhz 4-Mbit wave-pipelined CMOS SRAM** *UntraSPARC IV Processor Architecture Overview, February, http://www.sun.com. **K. Ishibashi et al., "A 300 MHz 4-Mb Wave-pipeline CMOS SRAM Using a Multi-Phase PLL," IEEE International Solid-State Circuits Conference, pp. 308-309, February 1995. 2005 Georgia Institute of Technology 45

Cache delay model Modify CACTI* to measure cycle time of pipelined cache with variable Vdd Latch pipelined cache Divide CACTI cache model into four segments Merge adjacent segments to form 2-, 3- and 4-stage pipelined cache Wave pipelined cache Cycle time using wave variable in CACTI Decoder Tag array & sense amp Data array & sense amp CACTI cache structure Comparator Pipeline segmentation for latch pipelined cache Output driver *Reinman, G. and Jouppi, N., CACTI 2.0: An integrated cache timing and power model. [Online]. Available http://www.research.compaq.com/wrl/people/jouppi/cacti.html 2005 Georgia Institute of Technology 46

Cache cycle time Measure cycle time while varying Vdd and pipeline depth with 0.25µ tech. Cycle time is maximum delay of stages 1 Stage 2 Stages 3 Stages 4 Stages 1 Stage 2 Stages 3 Stages 4 Stages 6 6 5 5 Cycle time (ns) 4 3 1.15V 1.65V 2.25V Cycle time (ns) 4 0.75V 3 1.05V 2.25V 2 2 1 1 0.7 0.95 1.2 1.45 0 1.7 1.95 2.2 2.45 2.7 2.95 Voltage (V) 3.2 0.7 0 0.95 1.2 1.45 1.7 1.95 2.2 2.45 Voltage (V) 2.7 2.95 3.2 Latch pipelined cache Wave pipelined cache 2005 Georgia Institute of Technology 47

Experimental Setup Evaluate targeting embedded processor Simplescalar/ARM+Wattch* for performance and power estimation Modify Simplescalar/ARM+Wattch to simulate a variable stage pipelined cache processor Michigan ARM-like Verilog processor model (MARS**) for the power estimation of buffers between broken (non-cache) pipeline stages Expand MARS pipeline and measure power consumption using synthesis based power measurement method Functional Simulation (VCS) Toggle Rate Generation MARS Verilog Model Datapath Power (Power Compiler) Benchmark Program (C/C++) Binary Translation (GCC) Synthesize Verilog Model (Design Compiler) CACTI Delay Simplescalar/ARM +Wattch Processor Power Processor Energy * D. Brooks et al., Wattch: A Framework for Architectural Level Power Analysis and Optimizations, Proceedings of the International Symposium on Computer Architecture, pp. 83-94, June 2000. **The Simplescalar-Arm power modeling project. [Online]. Available http://www.eecs.umich.edu/~jringenb/power 2005 Georgia Institute of Technology 48

Architecture configuration and benchmarks Simplescalar/ARM+Wattch configuration is modeled after Intel StrongARM Branch target buffer used to hide branch delay Compiler optimization used to hide load delay 7 benchmarks targeting embedded system domain Execution type Branch predictor L1 I-cache L1 D-cache L2 cache Memory bus width Memory latency Clock speed Vdd (Core) Vdd (Cache) In-order 128 entry BTB 32KB 4-way 32KB 4-way None 4-byte 12 cycles 233Mhz 2.25V 2.25V, 1.05V 0.75V 2005 Georgia Institute of Technology 49

Execution cycles 1-stage cache 2-stage pipelined cache 3-stage pipelined cache 4-stage pipelined cache Increase (%) Increase (%) Increase (%) Benchmark cycles cycles Total Icache Dcache cycles Total Icache Dcache cycles Total Icache Dcache DIJKSTRA 100,437,638 109,881,681 9.40 1.13 8.27 127,199,801 26.65 2.38 24.26 141,488,147 30.11 5.11 25.00 DJPEG 10,734,606 11,380,700 6.02 0.34 5.68 12,243,399 14.06 0.74 13.32 13,091,913 15.41 1.84 13.57 GSM 21,522,735 21,859,916 1.57 0.46 1.11 23,078,322 7.23 1.03 6.19 24,173,369 11.08 1.59 9.49 MPEG2DEC 28,461,724 29,398,489 3.29 0.28 3.01 31,741,379 11.52 1.27 10.25 33,969,889 15.87 2.37 13.50 QSORT 90,206,190 93,280,904 3.41 1.91 1.50 97,111,275 7.65 4.10 3.55 100,787,822 10.08 6.74 3.34 SHA 17,533,248 17,600,241 0.38 0.34 0.04 18,014,403 2.74 0.74 2.00 18,413,569 4.98 1.14 3.84 STRINGSEARCH 6,356,925 6,668,413 4.90 2.13 2.77 7,048,747 10.88 4.58 6.31 7,409,552 13.40 6.94 6.46 Average 4.14 0.94 3.20 11.53 2.12 9.41 14.42 3.68 10.74 E.T. increases as the pipelined cache deepens due to pipelining penalties (branch misprediction, load delay) 2-stage pipelined cache increases execution time by 4.14% 2005 Georgia Institute of Technology 50

Normalized processor power consumption 1-stage 2-stage 3-stage 4-stage 1.0 0.9 0.8 Saving 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0.0 DIJKSTRA DJPEG GSM MPEG2DEC 2-stage pipelined cache processor saves 23.55% of power QSORT SHA STRINGSEARCH 2005 Georgia Institute of Technology 51

Normalized cache power consumption 1.0 1-stage 2-stage 3-stage 4-stage 0.9 0.8 0.7 0.6 Saving 0.5 0.4 0.3 0.2 0.1 0.0 DIJKSTRA DJPEG GSM MPEG2DEC 2-stage pipelined caches save about 70% of cache power QSORT SHA STRINGSEARCH 2005 Georgia Institute of Technology 52

Normalized energy consumption 1-stage 2-stage 3-stage 4-stage 1.0 0.9 0.8 Saving 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0.0 DIJKSTRA DJPEG GSM MPEG2DEC 2-stage pipelined cache processor saves 20.43% of energy QSORT SHA STRINGSEARCH 2005 Georgia Institute of Technology 53

Outline Introduction Related Work Sleepy stack Sleepy stack logic circuits Sleepy stack SRAM Low-power pipelined cache (LPPC) Sleepy stack pipelined SRAM Conclusion 2005 Georgia Institute of Technology 54

Sleepy stack pipelined SRAM Combine the sleepy technique and low-power pipelined cache (LPPC) Leakage reduction while maintaining performance Use 2-stage LPPC, i.e., 7-stage pipeline IF1 IF2 ID EX MEM1 MEM2 WB I-cache1 I-cache2 D-cache1 D-cache2 Sleepy stack SRAM Sleepy stack low-power pipelined caches 2005 Georgia Institute of Technology 55

Methodology Model the base case 32KB SRAM with 4 subblocks targeting 0.07µ technology (Vdd=1.0V) Sleepy stack is applied to SRAM cell Pre-decoder and row-decoder except global wordline drivers Low-voltage pipelined SRAM with Vdd=0.7V Dynamic power, leakage power, and delay are measured using HSPICE Measured parameters are fed into Simplescaler/ARM to measure process performance 2005 Georgia Institute of Technology 56

SRAM performance Active power Static power Delay Decoder SRAM Decoder SRAM Decoder SRAM subblock Rise/fall time 8.00E-02 6.00E-03 1.80E-09 7.00E-02 6.00E-02 5.00E-02 4.00E-02 3.00E-02 5.00E-03 4.00E-03 3.00E-03 2.00E-03 1.60E-09 1.40E-09 1.20E-09 1.00E-09 8.00E-10 6.00E-10 2.00E-02 1.00E-02 1.00E-03 4.00E-10 2.00E-10 0.00E+00 Basecase Lowvoltage SRAM Sleepy stack SRAM 0.00E+00 Basecase Lowvoltage SRAM Sleepy stack SRAM 0.00E+00 Basecase Lowvoltage SRAM Sleepy stack SRAM Active power increases 36% (sleepy stack) and decreases 58% (lowvoltage SRAM) Sleepy stack SRAM achieves 17X leakage reduction (low-voltage SRAM 3X) Delay increases 33% (low-voltage SRAM 66%) (before pipelining) Estimated area overhead of sleepy stack is less that 2X 2005 Georgia Institute of Technology 57

Processor performance 1.2 Normalized execution cycles Active power I-cache D-cache Base case Sleepy stack 1 0.8 0.6 0.4 7.00E-02 6.00E-02 5.00E-02 4.00E-02 3.00E-02 2.00E-02 0.2 0 CJPEG DIJKSTRA DJPEG GSM_ENC QSORT MPEG2DEC1 SHA STRINGSEARCH Average 0.00E+00 Average 4% execution cycle increase with same cycle time (33% of delay increase before pipelining) Active power of sleepy stack pipelined SRAM increase 31% (low-voltage SRAM active power decreases 60%) When sleep mode is 3 times longer than active mode, the sleepy stack pipelined cache is effective to save energy 1.00E-02 Basecase Lowvoltage SRAM Sleepy stack SRAM 2005 Georgia Institute of Technology 58

Conclusion and contribution Sleepy stack structure achieves dramatic leakage power reduction (4-inverters, 215X over forced stack) while saving state with some delay and area overhead Sleepy stack SRAM cell provides new pareto points in ultra-low leakage power consumption (2.77X over high- Vth with 19% delay increase or 2.26X without delay increase) Low-power pipelined cache reduces cache power by lowering cache supply voltage (2-stage pipelined cache 20% of energy with 4% delay increase) Sleepy stack pipelined SRAM achieves 17X leakage reduction with small execution cycle (4%) increase and less than 2X estimate area increase 2005 Georgia Institute of Technology 59

Publications [1] J. C. Park, V. J. Mooney and P. Pfeiffenberger, Sleepy Stack Reduction in Leakage Power, Proceedings of the International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 04), pp. 148-158, September 2004. [2] P. Pfeiffenberger, J. C. Park and V. J. Mooney, Some Layouts Using the Sleepy Stack Approach, Technical Report GIT-CC-04-05, Georgia Institute of Technology, June 2004, [Online] Available http://www.cc.gatech.edu/tech_reports/index.04.html. [3] A. Balasundaram, A. Pereira, J. C. Park and V. J. Mooney, Golay and Wavelet Error Control Codes in VLSI, Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC'04), pp. 563-564, January 2004. [4] A. Balasundaram, A. Pereira, J. C. Park and V. J. Mooney, Golay and Wavelet Error Control Codes in VLSI, Technical Report GIT-CC-03-33, Georgia Institute of Technology, December 2003, [Online] Available http://www.cc.gatech.edu/tech_reports/index.03.html [5] J. C. Park, V. J. Mooney and S. K. Srinivasan, Combining Data Remapping and Voltage/Frequency Scaling of Second Level Memory for Energy Reduction in Embedded Systems, Microelectronics Journal, 34(11), pp. 1019-1024, November 2003. Kluwer Academic/Plenum Publishers, pp. 211-224, May 2002. 2005 Georgia Institute of Technology 60

Publications [6] J. C. Park, V. J. Mooney, K. Palem and K. W. Choi, Energy Minimization of a Pipelined Processor using a Low Voltage Pipelined Cache, Conference Record of the 36th Asilomar Conference on Signals, Systems and Computers (ASILOMAR'02), pp. 67-73, November 2002. [7] K. Puttaswamy, K. W. Choi, J. C. Park, V. J. Mooney, A. Chatterjee and P. Ellervee, System Level Power-Performance Trade-Offs in Embedded Systems Using Voltage and Frequency Scaling of Off-Chip Buses and Memory, Proceedings of the International Symposium on System Synthesis (ISSS'02), pp. 225-230, October 2002. [8] S. K. Srinivasan, J. C. Park and V. J. Mooney, Combining Data Remapping and Voltage/Frequency Scaling of Second Level Memory for Energy Reduction in Embedded Systems, Proceedings of the International Workshop on Embedded System Codesign (ESCODES'02), pp. 57-62, September 2002. [9] K. Puttaswamy, L. N. Chakrapani, K. W. Choi, Y. S. Dhillon, U. Diril, P. Korkmaz, K. K. Lee, J. C. Park, A. Chatterjee, P. Ellervee, V. J. Mooney, K. Palem and W. F. Wong, Power-Performance Trade-Offs in Second Level Memory Used by an ARM-Like RISC Architecture, in the book Power Aware Computing, edited by Rami Melhem, University of Pittsburgh, PA, USA and Robert Graybill, DARPA/ITO, Arlington, VA, USA, published by Kluwer Academic/Plenum Publishers, pp. 211-224, May 2002. [10] J. C. Park and V. J. Mooney, Pareto Points in SRAM Design Using the Sleepy Stack Approach, IFIP International Conference on Very Large Scale Integration (IFIP VLSI- SOC'05), 2005. 2005 Georgia Institute of Technology 61