FPGA Implementation of Efficient 16-Bit Parallel Prefix Kogge Stone Architecture for Convolution Applications Geetha.B 1 Ramachandra.A.

Similar documents
Design of 32 bit Parallel Prefix Adders

Implementation and Estimation of Delay, Power and Area for Parallel Prefix Adders

Design of High Speed Vedic Multiplier Using Carry Select Adder with Brent Kung Adder

Design and Estimation of delay, power and area for Parallel prefix adders

Design of Low Power and High Speed Carry Select Adder Using Brent Kung Adder

A Novel Approach For Error Detection And Correction Using Prefix-Adders

Design of Carry Select Adder with Binary Excess Converter and Brent Kung Adder Using Verilog HDL

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET)

Comparative Analysis of Adders Parallel-Prefix Adder for Their Area, Delay and Power Consumption

Design of Modified Low Power and High Speed Carry Select Adder Using Brent Kung Adder

REVIEW OF CARRY SELECT ADDER BY USING BRENT KUNG ADDER

Comparison of Parallel Prefix Adders Performance in an FPGA

Design of 16-Bit Adder Structures - Performance Comparison

Implementation of 16-Bit Area Efficient Ling Carry Select Adder

Design of a High Speed Adder

DEVISE AND INFERENCE OF DELAY, POWER AND AREA FOR ANALOGOUS PREFIX ADDERS

Design of Carry Select Adder Using Brent Kung Adder and BEC Adder

16-BIT CARRY SELECT ADDER. Anushree Garg B.Tech Scholar, JVW, University, Rajasthan, India

Design of Low Power and High Speed Carry Select Adder Using Brent Kung Adder

DESIGN AND SIMULATION OF 4-BIT ADDERS USING LT-SPICE

Design of 64-bit hybrid carry select adder using CMOS 32nm Technology

Australian Journal of Basic and Applied Sciences. Performance Analysis of Different Types of Adder Using 3-Transistor XOR Gate

A COMPREHENSIVE SURVEY ON VARIOUS ADDERS AND ITS COMPACTION RESULT

Designing, simulation and layout of 6bit full adder in cadence software

utca mother board for FMC ADC daughter cards

FPGA-based Emotional Behavior Design for Pet Robot

Pareto Points in SRAM Design Using the Sleepy Stack Approach

We recommend you cite the published version. The publisher s URL is

Effective Vaccine Management Initiative

Representation, Visualization and Querying of Sea Turtle Migrations Using the MLPQ Constraint Database System

Dog Years Dilemma. Using as much math language and good reasoning as you can, figure out how many human years old Trina's puppy is?

Modeling and Control of Trawl Systems

MGL Avionics EFIS G2 and iefis. Guide to using the MGL RDAC CAN interface with the UL Power engines

STUDY BEHAVIOR OF CERTAIN PARAMETERS AFFECTING ASSESSMENT OF THE QUALITY OF QUAIL EGGS BY COMPUTER VISION SYSTEM

Turtle Ballet: Simulating Parallel Turtles in a Nonparallel LOGO Version. Erich Neuwirth

Pet Selective Automated Food Dispenser

Controllability of Complex Networks. Yang-Yu Liu, Jean-Jacques Slotine, Albert-Laszlo Barbasi Presented By Arindam Bhattacharya

The City School. Learn Create Program

A Column Generation Algorithm to Solve a Synchronized Log-Truck Scheduling Problem

Subdomain Entry Vocabulary Modules Evaluation

Applicability of Earn Value Management in Sri Lankan Construction Projects

5 State of the Turtles

List of the Major Changes to CKC Agility for 2014

EVM analysis of an Interference Limited SIMO-SC System With Independent and Correlated Channels

Division of Research University Policy

Simulation of the ASFA system in an ERTMS simulator

Initial Study on Electro-Mechanical Artificial Insemination (AI) Device for Small Ruminants.

Nathan A. Thompson, Ph.D. Adjunct Faculty, University of Cincinnati Vice President, Assessment Systems Corporation

THE EFIGENIA EJ-1B MOZART S/VTOL

HCM 6: Highway Capacity Manual: A Guide for Multimodal Mobility Analysis

An Esterel Virtual Machine (EVM) Aruchunan Vaseekaran

IQ Range. Electrical Data 3-Phase Power Supplies. Keeping the World Flowing

Pareto Points in SRAM Design Using the Sleepy Stack Approach

Grade: 8. Author: Hope Phillips

Performance Analysis of HOM in LTE Small Cell

Improving RLP Performance by Differential Treatment of Frames

Lecture 4: Controllability and observability

THE PIGEONHOLE PRINCIPLE AND ITS APPLICATIONS

OPTIMAL CULLING POLICY FOR

Effects of Cage Stocking Density on Feeding Behaviors of Group-Housed Laying Hens

Course # Course Name Credits

EVM in Practice. Strategic Control throughout Project Life Cycle. Peerapong Aramvareekul, Ph.D., PMP, PSP, EVP Ronnie D. Stephens

Application of Fuzzy Logic in Automated Cow Status Monitoring

Physics Based Ragdoll Animation

Available online at ScienceDirect. Procedia Computer Science 102 (2016 )

GAO Earned Value Management (EVM) Audit Findings

Advanced Uses of Earned Value Management in Projects, Programmes and Portfolios

Answers to Questions about Smarter Balanced 2017 Test Results. March 27, 2018

Earned Value Management Practitioners Symposium

Optimal Efficient Meta Heauristic Based Approch for Radial Distribution Network

Lecture 1: Turtle Graphics. the turtle and the crane and the swallow observe the time of their coming; Jeremiah 8:7

CAT MATH AN INTERMEDIATE LEVEL MATH LESSON ON CAT OVERPOPULATION

Drive More Efficient Clinical Action by Streamlining the Interpretation of Test Results

Sleepy stack: a New Approach to Low Power VLSI Logic and Memory

The Impact of Gigabit LTE Technologies on the User Experience

POULTRY. 3-4 Member Team and 2 Alternates IMPORTANT NOTE

288 Seymour River Place North Vancouver, BC V7H 1W6

Our class had 2 incubators full of eggs. On day 21, our chicks began to hatch. In incubator #1, 1/3 of the eggs hatched. There were 2 chicks.

Lessons learned from implementing EVM on a large scale IT portfolio at the Department of State

Improving AIBO with Artificial Intelligence Technique

Jefferson County High School Course Syllabus

[Boston March for Science 2017 photo Hendrik Strobelt]

DoD EVM. EVM Requirements, Application, Reporting, & Execution. Mr. John McGregor PARCA Director for EVM. David Nelson Karen Kostelnik

Andy Hartman Director of Agility. August, Dear Agility Judges:

RECOMMENDATION ITU-R P ITU-R reference ionospheric characteristics *

Mexican Gray Wolf Reintroduction

Essential Skills for Assistant Training Revised 7/1/2018

Comments from The Pew Charitable Trusts re: Consultation on a draft global action plan to address antimicrobial resistance September 1, 2014

Seems to be inseparable connected with the DDC

Detail Bio-data: Mr. Vijay K. YAdav

Supplementary Fig. 1: Comparison of chase parameters for focal pack (a-f, n=1119) and for 4 dogs from 3 other packs (g-m, n=107).

Genotypic and phenotypic relationships between gain, feed efficiency and backfat probe in swine

The purpose of this policy is to delineate the functions, roles and responsibilities of the FAU IACUC membership.

Status of Electronics Upgrades to the LANL Green is Clean Phoswich Detector Systems 16419

IDR : VOL. 10, NO. 1, ( JANUARY-JUNE, 2012) : ISSN :

American Sheep Industry Association, Inc.

Strategy 2020 Final Report March 2017

OIE Regional Commission for Europe Regional Work Plan Framework Version adopted during the 85 th OIE General Session (Paris, May 2017)

EVALUATION OF DIFFERENT CHICKEN LAYER BREEDS FOR USE IN INTEGRATED AQUACULTURE-POULTRY PRODUCTION SYSTEMS IN GAUTENG, SOUTH AFRICA

Mathematical models for dog rabies that include the curtailing effect of human intervention

Transcription:

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 05, 2014 ISSN (online): 2321-0613 FPGA Implementation of Efficient 16-Bit Parallel Prefix Kogge Stone Architecture for Convolution Applications Geetha.B 1 Ramachandra.A.C 2 1 4 th SEM, M.Tech Dept. of ECE 2 HOD & Professor Dept. of ECE 1, 2 ACE, Bangalore Abstract Adders form important parts of any digital circuits. Adders are much used in all integrated digital circuits because any process involving multiplication and any binary functions use adders in their processes. Adders are not only necessary for addition, but it also needs for other parameter such as subtraction, multiplication and division. In this paper we have proposed both kogge stone adder and carry select adder. The proposed adder is synthesized using Spartan 3s400-tq144. A comparative study of delay of kogge stone adder and carry select adder were analyzed and shown that delay has been reduced in kogge stone adder compared to that of carry select adder. Keywords: - carry select adder, kogge stone adder,circuit delay,convolution I. INTRODUCTION Adders are the vital elements used in the VLSI designs. Adders are used in multiple blocks architecture of VLSI designs and Digital Computer Design. These are most frequently used digital components in digital integrated circuit design. Adders are important parameters for general purpose microprocessors and digital signal processing applications. Adders are not only necessary for addition, but it also needs for other parameter such as subtraction, multiplication and division. The performance adders are usually influence the fast and accurate operation of a digital system. Addition forms the basis for many processing operations, from ALUs to address generation to multiplication to filtering.. An extensive, almost endless, assortment of adder architectures serves different speed/power/area requirements. II. RELATED WORK Mangesh B Kondalkar et al [1] proposed that fault tolerant adder implemented using kogge stone adder configuration can correct due to the inherent redundancy in the carry tree. N.G.Nirmal and Dr. D.T.Ingole [2] proposed multiplier implementation with Kogge-Stone Adder as a basic component yields a significant reduction in Combinational path delay. Vishnupriya.A and Sudarmani.R [3] proposed a new approach for high speed and low power multiplier design with less number of gate counts.. Kogge Stone adder (KSA) is used in place of counter for reducing the average connection delay. Pakkiraiah Chakali and Madhu Kumar Patnala [4] proposed that Carry Select Adder (CSLA) is designed by using dual Ripple Carry Adders RCA and there is a scope for reducing delay in this. V.Krishna Kumari and Y.Sri Chakrapani [5] proposed that Ripple Carry Adder (RCA) is serial adder and it has propagation delay problem. To overcome these issues we prefer parallel prefix adders such as KS adders, SKS adders, Spanning tree and Brent -Kung adders. Ms. Madhu Thakur and Prof. Javed Ashaf [6] proposed that computational complexities of algorithms used in Digital Signal Processors (DSPs) have gradually increased. III. BLACK CELL AND GREY CELL Kogge stone adder consists of mainly three components such as black cell, grey cell and buffer. A. Black cell: Black cells are used in the computation of both generate and propagate signals. Pi (pres) = Pi and Pi (prev) (1) Gi (pres) = (Pi and Gi (prev)) or Gi (2) B. Grey cell: Fig. 1: Representation of Black Cell Grey cells are used in the computation of generate signals which are needed in the computation of sum in the postprocessing stage. Pi (pres) = Pi (3) Gi (pres) =Gi (4) Fig. 2: Representation of Grey Cell IV. PROBLEM DESCRIPTION Adders play an important role in filter design applications. Traditional adders delay increases as number of bits increases. It is required to design an efficient high speed adder to improve the performance of the filter. All rights reserved by www.ijsrd.com 6

V. PROPOSED SYSTEM Kogge stone adder is a parallel prefix form of carry look ahead adder. Kogge stone adder can be represented as a parallel prefix adder graph consisting of carry operator nodes. The time required to generate carry signals in this prefix adder is 0(log n). It is the fastest adder with focus on design time and is the common choice for high performance adders in industry. The Kogge stone adder concept was devolved by Peter M. Kogge and Harold S. Stone which was published in 1973. In this adder generate and the propagate signals are precomputed. Usually in tree based adders, carriers are generated in tree and fast computation is obtained [3]. There are three stages in kogge-stone adder they are generate signal using equations (7) and (8).The grey cell executes only generate signal using equations (7). Finally the output Sum (3:0) is computed using equation (9) along with another output Cout A. Pre Processing: This step involves computation of generate and propagate signals corresponding too each pair of bits in A and B. These signals are given by the logic equations below: Pi=Ai xor Bi (5) Gi= Ai and Bi (6) B. Carry look ahead network: This block differentiates KSA from other adders. This step involves computation of carries corresponding to each bit. It uses group propagates and generate as intermediate signals which are given by the logic equations (7) and (8) respectively. Pi:j = Pi:k+1 and Pk:j (7) Gi:j = Gi:k+1 or (Pi:k+1 and Gk:j ) (8) C. Post processing: This stage is common to all adders of this family (CLA). It involves computation of sum bits. Sum bits are computed by the logic given below: Si = Pi xor Ci-1 (9) Fig.4: Four bit Kogge stone adder The eight-bit kogge stone adder is similarly implemented as that four-bit kogge stone adder as shown in Fig.5. There are three inputs to the adder they are A (7:0), B (7:0) and Cin. The input Cin can be either 0 or 1. The output Sum (7:0) is computed along with another output Cout. Consider an example to illustrate eight-bit Kogge stone adder A (8:0) =11111111, B (8:0) =11111111, Cin=0. The Sum output S (8:0) =1111111110, Cout=1. Fig. 3: Stages of Kogge Stone Adder The parallel prefix Kogge- stone adder can be computed in many bits such as two-bit, three-bit, four-bit, seven-bit, eight-bit, sixteen- bit and thirty two-bit as well as. There are three inputs to the adder they are A (3:0), B (3:0) and Cin. The input Cin can be either 0 or 1. These inputs are given to the respective propagate and generate blocks (PG) to produce propagate signal Pi (3:0) and generate signal Gi (3:0).These propagate and generate signal proceed to next stage. In this stage, it contains black cell, grey cell and buffer. The black cell executes both propagate and Fig. 5: Eight-Bit Kogge Stone Adder All rights reserved by www.ijsrd.com 7

D. Sixteen-bit kogge stone adder The Parallel prefix sixteen -bit Kogge stone adder consists of a three inputs A (15:0), B (15:0) and Cin, Where the input Cin can either 0 or 1.Outputs is Sum (15:0) and Cout. The Block diagram of sixteen bit Kogge stone adder is as shown in the Fig.6. Fig. 6: Block diagram of Sixteen-bit kogge stone adder It also consists of generate and Propagate Block (GP), Black cell (BC) and Grey cell (GC) similar to that four-bit Kogge-Stone adder.the representation of sixteen-bit Kogge-stone adder is as shown in Fig.7. Consider an example A (15:0) =1101101101101101, B (15:0) =1011011100101011, Cin=0. The Sum output S (15:0) = 1001001001011000, Cout=1. Consider example A (15:0) =1111111111111111, B (15:0) =1111111111111111, Cin=0. The Sum output S (15:0) = 1111111111111110, Cout=1. VI. APPLICATION The proposed sixteen-bit Kogge Stone adder can be useful to convolute the discrete image samples with filter coefficients. The two discrete samples are multiplied and added. The filter coefficients are used to remove the noise in image by convoluting with image. Due to this the performance of speed can be improved. Convolution is a mathematical operation on two functions f and g, produce a third function that is naturally viewed as a modified version of one of the original functions, giving the area overlap between the two functions as a function of the amount that one of the original functions is translated. ( f * g) t f ( ) g( t ) d (10) Conversely, convolution can be derived as the inverse Fourier transform of the point wise product of two Fourier transforms. Computing the inverse of the convolution operation is known as De-Convolution. Convolution filters are a great way to process images for certain features. Features are defined by an n*m matrix that is applied to the image. The Gaussian kernel of 3*3 is as shown in Fig.5.1. VII. RESULT The design proposed Parallel Prefix adder are coded in Verilog Hardware Description Language using structural modeling in Xilinx ISE Design Suite 14.5i and all the simulation results are verified using XILINX ISIM simulator. It is synthesized with Spartan-6 FPGA XC65LX45 with the speed grade of -2. Inputs are generated using Verilog HDL test bench. Fig. 6: Simulation Result of 4-Bit Kogge Stone Adder Fig. 7: Simulation Result of 8-Bit Kogge Stone Adder Fig. 7: Representation of Sixteen-Bit Kogge Stone Adder Fig. 8: Simulation Results of 16-Bit Kogge Stone Adder All rights reserved by www.ijsrd.com 8

VIII. PERFORMANCE ANALYSIS The comparison is carried out in between the kogge stone adder and carry select adder with respect to the delay and area. Table.1.Comparison of Delay and Area of kogge stone adder and carry select adder Parameter Carry select adder Kogge stone adder 4-bit 8-bit 4-bit 8-bit Delay(ns) 11.91 17.682 10.618 16.191 No of LUT s 8 16 5 13 Table.2.Comparsion between 16-Bit Kogge Stone Adder and 16-Bit Brent-Kung Adder PARAMETERS 16-BIT KOGGE STONE ADDER 16-BIT BRENT KUNG ADDER Gate delay(ns) 21.303 20.589 No of slices 20 31 No of LUTS 37 55 No of IOs 51 51 No of bonded IOs A. Convolution results 51 50 The results for convolution using sixteen-bit Kogge stone adder are shown. The results for input image (a) and output image (b) is obtained as shown Fig.8. (A) INPUT IMAGE (B) OUTPUT IMAGE Fig. 8: Mat lab results for convolution using proposed 16-bit kogge-stone adder IX. CONCLUSION In any digital or integrated systems, addition and multiplication are fundamental operations. Usually fast and accurate operation of digital system depends on performance of adders. Hence improving the speed by reduction in area is the main area of research in VLSI system design. Parallel prefix adders are type of adders, where execution is done in parallel. The comparison between kogge stone adders and carry select adder as done. The compared result shows that delay has been reduced in kogge stone adder compared to that of carry select adder. Here proposed Parallel Prefix architectures such as 16- bit Parallel Prefix Kogge Stone Adder is designed and implemented in Xilinx tool. The Comparison is done both on proposed adder in terms of delay and area. It is observed 16-bit Kogge stone adder as less delay compared to that 16- bit Brent-kung adder. The number of logic levels used is also found to be less. There is a reduction in both logic and routing delay and improvement in the speed. This proposed 16- bit Parallel Prefix Kogge Stone Adder is used in Convolution application. In this application the discrete image samples with filter coefficients ACKNOWLEDEGMENT I take this Opportunity to express my profound gratitude and deep regards to my guide Mr. Rangaswamy.Y., Assistant Professor, Alpha College of Engineering, Bangalore, for his guidance and constant encouragement throughout. I would also like to thank Principal Dr. S M Prakash and Dr. Ramachandra A C professor and Head, Dept. of electronics and communication engineering, ACE, for constant encouragement in implementing this paper and pursuing this paper. REFERENCES [1] Mangesh B Kondalkar Improved fault tolerant sparse kogge stone adder, International journal, Aug, 2013 [2] N.G.Nirmal Dr. D.T.Ingole, Novel Delay Efficient Approach for Vedic Multiplier with Generic Adder Module, International journal, May, June 2013 [3] Vishnupriya.A and Sudarmani.R Efficient Serial Multiplier Design using Ripple Counters, KSA and full adder, International Journal of Computer Applications, April 2013. [4] Pakkiraiah Chakali and Madhu Kumar Patnala Design of High Speed Kogge Stone Based Carry Select Adder, International Journal of Emerging Science and Engineering, Feb- 2013. [5] Krishna Kumari et.al Designing and characterization of KS adder SKS adder, Spanning tree, Brent-kung adders, International Journal of Modern Engineering Research, Jul- Aug- 2013. [6] Ms. Madhu Thakur and Prof. Javed Ashaf Design of Braun Multiplier with KSA &its Implementation on FPGA, International Journal of Scientific & Engineering Research [7] Prabakaran R., Anna Univ., Trichy, India, Famila, S.; Gowri, S.; Arvind, R, Design of low power high speed VLSI adder subsystem, International Journal of Computer Networks & Communications (IJCNC), Vol.2, No.4, July 2010 [8] Chris D, L.P.Deepthi and David H., Fault Tolerant Parallel Prefix Adder for VLSI and FPGA Design, 44th IEEE South eastern Symposium, March 11-13, 2012. [9] Aranda, M.L. Dept. de Electron., Inst. Nac. de Astrofis., Opt. y Electron., Puebla, Mexico, B ez, R. Diaz, O.G. Hybrid adders for high-speed arithmetic circuits: A comparison. [10] S.Ghosh, Ndai.P and Roy.K A novel low overhead fault tolerant Kogge-Stone adder using adaptive clocking -ECE Faculty Publications, Electrical and Computer Engineering- 2008 [11] K.Vitoroulis and A.J.Al.Khallili, Performance of Parallel Prefix Adders Implemented with FPGA Technology, IEEE 2007 [12] Syed.Zaheeruddin and Ch.Sandeep Implementation of Reversible ALU using Kogge Stone Adder - All rights reserved by www.ijsrd.com 9

International Journal of Advanced Research in Electronics and Communication Engineering -October 2013 [13] Khader Mohammad and Sos Agaian Efficient FPGA implementation of convolution - IEEE International Conference on Systems, Man and Cybernetics-2009 [14] Ernest Jamro and Kazimierz Wiatr Convolution operation implemented in FPGA FPGA Implementation of Efficient 16-Bit Parallel Prefix Kogge Stone Architecture for Convolution Applications All rights reserved by www.ijsrd.com 10