DEVISE AND INFERENCE OF DELAY, POWER AND AREA FOR ANALOGOUS PREFIX ADDERS

Similar documents
Implementation and Estimation of Delay, Power and Area for Parallel Prefix Adders

Design and Estimation of delay, power and area for Parallel prefix adders

Design of 32 bit Parallel Prefix Adders

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET)

A Novel Approach For Error Detection And Correction Using Prefix-Adders

Comparison of Parallel Prefix Adders Performance in an FPGA

Design of High Speed Vedic Multiplier Using Carry Select Adder with Brent Kung Adder

Design of Low Power and High Speed Carry Select Adder Using Brent Kung Adder

Comparative Analysis of Adders Parallel-Prefix Adder for Their Area, Delay and Power Consumption

REVIEW OF CARRY SELECT ADDER BY USING BRENT KUNG ADDER

Implementation of 16-Bit Area Efficient Ling Carry Select Adder

Design of Carry Select Adder with Binary Excess Converter and Brent Kung Adder Using Verilog HDL

Design of 16-Bit Adder Structures - Performance Comparison

FPGA Implementation of Efficient 16-Bit Parallel Prefix Kogge Stone Architecture for Convolution Applications Geetha.B 1 Ramachandra.A.

Design of a High Speed Adder

Design of Carry Select Adder Using Brent Kung Adder and BEC Adder

Design of Modified Low Power and High Speed Carry Select Adder Using Brent Kung Adder

Australian Journal of Basic and Applied Sciences. Performance Analysis of Different Types of Adder Using 3-Transistor XOR Gate

16-BIT CARRY SELECT ADDER. Anushree Garg B.Tech Scholar, JVW, University, Rajasthan, India

DESIGN AND SIMULATION OF 4-BIT ADDERS USING LT-SPICE

Design of Low Power and High Speed Carry Select Adder Using Brent Kung Adder

Design of 64-bit hybrid carry select adder using CMOS 32nm Technology

A COMPREHENSIVE SURVEY ON VARIOUS ADDERS AND ITS COMPACTION RESULT

Designing, simulation and layout of 6bit full adder in cadence software

Pareto Points in SRAM Design Using the Sleepy Stack Approach

Pareto Points in SRAM Design Using the Sleepy Stack Approach

utca mother board for FMC ADC daughter cards

MGL Avionics EFIS G2 and iefis. Guide to using the MGL RDAC CAN interface with the UL Power engines

FPGA-based Emotional Behavior Design for Pet Robot

Modeling and Control of Trawl Systems

Optimal Efficient Meta Heauristic Based Approch for Radial Distribution Network

Nathan A. Thompson, Ph.D. Adjunct Faculty, University of Cincinnati Vice President, Assessment Systems Corporation

Good Health Records Setup Guide for DHI Plus Health Event Users

Cat Swarm Optimization

Pareto Points in SRAM Design Using the Sleepy Stack Approach. Abstract

Simulation of the ASFA system in an ERTMS simulator

Effects of Cage Stocking Density on Feeding Behaviors of Group-Housed Laying Hens

Pet Selective Automated Food Dispenser

Application of Fuzzy Logic in Automated Cow Status Monitoring

Development and improvement of diagnostics to improve use of antibiotics and alternatives to antibiotics

Factors Influencing Egg Production

5 State of the Turtles

Effective Vaccine Management Initiative

Applicability of Earn Value Management in Sri Lankan Construction Projects

The City School. Learn Create Program

An Esterel Virtual Machine (EVM) Aruchunan Vaseekaran

FCI LT LM UNDERGROUND

Representation, Visualization and Querying of Sea Turtle Migrations Using the MLPQ Constraint Database System

THE PIGEONHOLE PRINCIPLE AND ITS APPLICATIONS

THE EFIGENIA EJ-1B MOZART S/VTOL

Turtle Ballet: Simulating Parallel Turtles in a Nonparallel LOGO Version. Erich Neuwirth

Lab 7. Evolution Lab. Name: General Introduction:

Biol 160: Lab 7. Modeling Evolution

Sleepy stack: a New Approach to Low Power VLSI Logic and Memory

Eating Your Own Dog Food

Subdomain Entry Vocabulary Modules Evaluation

Jumpers Judges Guide

Interstate-5, Exit 260 Slater Road. Corridor Report and Preliminary Interchange Justification Evaluation

We recommend you cite the published version. The publisher s URL is

List of the Major Changes to CKC Agility for 2014

Our training program... 4

Optimizing use of quality antimicrobial medicines in humans

Nonlethal Small-Vessel Stopping With High-Power Microwave Technology

OIE Regional Commission for Europe Regional Work Plan Framework Version adopted during the 85 th OIE General Session (Paris, May 2017)

BIOLOGY 1615 ARTICLE ASSIGNMENT #3

The Veterinary Epidemiology and Risk Analysis Unit (VERAU)

A Column Generation Algorithm to Solve a Synchronized Log-Truck Scheduling Problem

King Fahd University of Petroleum & Minerals College of Industrial Management

The Drive for Innovation in Systems Engineering

Pixie-7P. Battery Connector Pixie-7P Fuse* Motor. 2.2 Attaching the Motor Leads. 1.0 Features of the Pixie-7P: Pixie-7P Batt Motor

Dog Years Dilemma. Using as much math language and good reasoning as you can, figure out how many human years old Trina's puppy is?

Microchipping Works: Best Practices

A Flexible natural gas membrane Reformer for m- CHP applications FERRET

CONTINUING EDUCATION AND INCORPORATION OF THE ONE HEALTH CONCEPT

Controllability of Complex Networks. Yang-Yu Liu, Jean-Jacques Slotine, Albert-Laszlo Barbasi Presented By Arindam Bhattacharya

GAO Earned Value Management (EVM) Audit Findings

HCM 6: Highway Capacity Manual: A Guide for Multimodal Mobility Analysis

Surveillance. Mariano Ramos Chargé de Mission OIE Programmes Department

EX3 Stepper-Motor Driven Electronic Expansion Valve Technical Data

Let s Play Poker: Effort and Software Security Risk Estimation in Software. Picture from

Comments from The Pew Charitable Trusts re: Consultation on a draft global action plan to address antimicrobial resistance September 1, 2014

Mexican Gray Wolf Reintroduction

The Impact of Gigabit LTE Technologies on the User Experience

21st Conference of the OIE Regional Commission for Europe. Avila (Spain), 28 September 1 October 2004

User s Guide. High Performance Linear Products SLOU119

Course # Course Name Credits

SOAR Research Proposal Summer How do sand boas capture prey they can t see?

Andy Hartman Director of Agility. August, Dear Agility Judges:

288 Seymour River Place North Vancouver, BC V7H 1W6

s w i s s e t h i c s

of Conferences of OIE Regional Commissions organised since 1 June 2013 endorsed by the Assembly of the OIE on 29 May 2014

Vigilance Behaviour in Barnacle Geese

ICAO WCO Joint Conference on Enhancing Air Cargo Security and Facilitation

Induction of a Transient Chemically Induced Lameness in the Sow. Detection Using a Prototype Embedded Micro-computerbased Force Plate System

Dynamic Programming for Linear Time Incremental Parsing

Lecture 1: Turtle Graphics. the turtle and the crane and the swallow observe the time of their coming; Jeremiah 8:7

Complete Solutions for BROILER BREEDERS

Status of Electronics Upgrades to the LANL Green is Clean Phoswich Detector Systems 16419

2. From where the latest Software Development Kit for the EVM can be downloaded?

MEMORANDUM OF UNDERSTANDING (MOU)

Transcription:

DEVISE AND INFERENCE OF DELAY, POWER AND AREA FOR ANALOGOUS PREFIX ADDERS P.S.N Bhaskar 1, K.M.Manjunath 2 1,2 Department of ECE, Alwardas Group, Andhra University, (India) ABSTRACT Analogous Prefix Adders have been established as the most efficient circuits for binary addition. The binary adder is the critical element in most digital circuit designs including digital signal processors and microprocessor data path units. The final carry is generated ahead to the generation of the sum which leads extensive research fixated on reduction in circuit complexity and power consumption of the adder. In VLSI implementation, parallel-prefix adders are known to have the superlative performance. This paper scrutinizes four types of carry-tree adders (the Kogge-Stone, sparse Kogge-Stone, spanning tree, Brent Kung Adder) and compare them to the simple Ripple Carry Adder and Carry Skip Adder. These designs of varied bit-widths are simulated using instigated on a Xilinx version Spartan 3E FPGA. These fast carry-chain carry-tree adders support the bit width up to 256. We blazon on the area requirements and reduction in circuit complexity for a multiplicity of classical parallel prefix adder structures. Keywords: Analogous Prefix Adders, Carry Tree Adders, FPGA, Logic Analyzer, Delay, Power. I. FOREWORD In Digital Computer Design adder is an imperative component and it is used in manifold blocks of its architecture. In many Computers and in various classes of processor specialization, adders are not only used in Arithmetic Logic Units [6], but also used to calculate addresses and table indices. There exist manifold algorithms to carry on addition operation ranging from simple Ripple Carry Adders to complex CLA [1]. The basic operations involved in any Digital Signal Processing systems are Multiplication, Addition and Accumulation [2]. Addition is an indispensible operation in any Digital, DSP or control system. Therefore fast and accurate operation of digital system relies on the performance of adders. Hence improving the performance of adder is the main area of research in most digital circuits. Binary addition is a fundamental operation in most digital circuits. There are multiplicities of adders, each has certain performance. Each type of adder is selected depending on where the adder is to be used. Adders are critically imperative elements in processor chips and they are used in floating-point arithmetic units, ALUs, memory addressing, program counter updating, Booth Multipliers, ALU Designing, multimedia and communication systems, Real-time signal processing like audio signal processing, video/image processing, or large capacity data processing etc [3]. The requirements of the adder are that it is primarily fast and secondarily efficient in terms of power consumption. In VLSI implementations, parallel-prefix adders are known to have the best performance [10][13]. In this paper, designing and implementing the tree-based adders on FPGAs are described. 56 P a g e

Tree-based adder structures are implemented on FPGA and compared with the Ripple Carry Adder (RCA) and the Carry Skip Adder (CSA) [14]. Some conclusions and suggestions are made for improving FPGA designs to empower better tree-based adder performance. Analogous prefix (or tree prefix) adders provide a good theoretical basis to make a wide range of design trade-offs in terms of delay, area and power [5][15]. Parallel Prefix Adders (PPA) is designed by considering carry look adder as a base. Similar to a CLA they employ the 3-stage structure shown in Figure.1 CLA and a PPA differs in second stage. In second stage carry signal of binary addition is generated Figure.1 Stages of Binary Addition Three stage structure of the carry look ahead and parallel prefix adder. In a PPA the prefix operator o [4] is introduced and the carry signal generation is treated as a prefix problem. II. THESIS We compared the design of the ripple carry adder with the carry-look ahead, carry-skip, and carry-select adders on the Xilinx 4000 series FPGAs. Only an optimized form of the carry-skip adder performed better than the ripple carry adder when the adder operands were above 56 bits [11]. A study of adders implemented on the Xilinx Vertex II yielded similar results. The preceding authors considered several parallel prefix adders implemented on a Xilinx Vertex 5 FPGA. It is found that the unpretentious RCA adder is superior to the parallel prefix designs because the RCA can take advantage of the fast carry chain on the FPGA. This study focuses on carrytree adders implemented on a Xilinx Spartan 3E FPGA. The distinctive contributions of this paper are two-fold. First, we consider tree-based adders and a hybrid form which combines a tree structure with a ripple-carry design. The Kogge-Stone adder is chosen as a representative of the former type and the sparse Kogge Stone and Brent Kung Adder is representative of the latter category. Second, this paper considers the practical issues involved in testing the adders and provides authentic measurement data to compare with simulation results. The previous works cited above all rely upon the synthesis reports from the FPGA place and route software for their consequences. A 16-bit Kogge-Stone adder is built from 16 generate and propagate (GP) blocks, 37 black cells (BC) blocks, 16 (GC) blocks, 16 sum blocks. Kogge-Stone prefix tree is one of the adders that use fewest logic levels [16]. Gray cells are interleaved similar to black cells except that the gray cells final output carry outs instead of intermediate G/P group. The reason of starting with Kogge-Stone prefix tree is that it is the tranquil to build in terms of using a program concept. The Figure.2 shown below is 16-bit (a power of 2) prefix tree and it is not problematic to extend the structure to any width if the basics are austerely followed. The sparse Kogge-Stone adder consists of several smaller ripple carry adders (RCAs) on its lower half, a carry tree on its upper half. It 57 P a g e

terminates with RCAs. The number of carries generated is less in a sparse Kogge Stone adder compared to the regular Kogge-Stone adder. The functionality of the GP block, black cell and the gray cell remains unerringly the same as in the regular Kogge-Stone adder. The sparse Kogge-Stone adder, this design cease with a 4- bit RCA. As the FPGA uses a fast carry-chain for the RCA, it is fascinating to compare the enactment of this adder with the sparse Kogge-Stone and regular Kogge-Stone adders. The Figure.4Shown below is the Block diagram of 16-Bit Sparse Kogge-Stone Adder. Fig.2. 16 bit sparse kogge-stone adder The 16 bit SKA uses black cells and gray cells as well as full adder blocks too. This adder computes the carries using the BC s and GC s and ceases with 4 bit RCA s. Totally it uses 16 full adders. The 16 bit SKA is shown in figure 2. In this adder, first the input bits (a, b) are converted as propagate and generate (p, g). Then propagate and generate terms are given to BC s and GC s. The carries are propagated in advance using these cells. Later these are given to full adder blocks. Another PPA is known as STA is also tested [6]. Like the SKA, this adder also ceases with a RCA. It also uses the BC s and GC s and full adder blocks like SKA s but the dissimilarity is the interconnection between them [7]. The 16 bit STA is shown in the below figure 3. Fig.3. 16 bit spanning tree adder 58 P a g e

Fig.4. 16 bit kogge stone adder Another carry tree known as BKA which also uses BC s and GC s but less than the KSA. So it takes less area to implement than KSA. The 16 bit BKA uses 14 BC s and 11 GC s but kogge stone uses 36 BC s and 15 GC s. So BKA has less architecture and inhabit less area than KSA. The 16 bit BKA is shown in the below figure 5. Fig.5. 16 bit Brent Kung adder BKA inhabit less area than the other 3 adders called SKA, KSA, and STA. This adder uses limited number of propagate and generate cells than the other 3 adders. It takes less area to implement than the KSA and has less wiring congestion. The operation of the 16 bit brent kung adder is given below [3]. This adder uses less BC s and GC s than kogge stone adder and has the better delay performance which is observed in Agilent 1692A logic analyzer. 59 P a g e

III. CONSEQUENCES Table.3 contains the results obtained. The adder abbreviations used in the table and the following deliberations are: BK for the Brent-Kung adder, KS for the Kogge-Stone adder, SK for Sparse Kogge Stone Adder, RC for Ripple Carry Adder. In the table, area is measured in Slice Look-Up Tables (LUT) units which characterize configurable logic units within the FPGA. Remarkably the synthesis tool synthesized a simple ripple carry adder regardless of the optimization strategy. The adder was implemented by configuring the slices within the FPGA as full adder components. Hence the number of lookup tables matched the operand bit-size in every case. Table.3 give the area results with the software set for area. It is apparent from these tables that the area optimization strategy produces adders which are ominously smaller compared to those produced with complexity optimization. In Table we can observe that generally the adder areas compare with the characteristics of their type. The BK exhibits the smallest size while the KS is the largest adder. This shows that in certain cases the tool optimized circuits reverse the algorithmic superiority of a design Table.3 Area Results Obtained With Area Optimization Figure.6 Comparison of path delays for Adders These adders are implemented in verilog HDL in Xilinx 13.2 ISE design suite and then verified using Xilinx vertex 5 FPGA through chip scope analyzer [7], [8], [9]. And these were tested using Agilent 1692A logic analyzer. Fig7: Look head adder result 60 P a g e

Fig8: Brentkung adder Result Fig9: koggestone Adder result Figure10: spinning tree adder result Figure11: sparse koggestone adder result IV. INFERENCE Analogous-prefix adders are not as effective as the simple ripple-carry adder at low to moderate bit widths. We have indications that the carry-tree adders eventually surpass the performance of the linear adder designs at high bit-widths, expected to be in the 128 to 256 bit range. This is imperative for large adders used in precision arithmetic and cryptographic applications where the addition of numbers on the order of a thousand bits is not uncommon. Because the adder is often the critical element which determines to a large part the cycle time and power dissipation for many digital signal processing and cryptographically implementations, it would be worthwhile for future FPGA designs to include an optimized carry path to empower tree based adder designs to be optimized for place and routing. The testability and possible fault tolerant features of the Brent Kung adder are topics for future exploration REFERENCES [1] A Journal by Y. Choi, Parallel Prefix Adder Design, Proc. 17 th IEEE Symposium on Computer 61 P a g e

Arithmetic, p 90-98, 27 th June 2005. [2] A book on Vlsi Digital Signal Processing Systems: Design And Implementation page 201-250 by Keshab K. Parhi [3] A book on Real time business processing by Prentice Hall page no: 781-892. [4] A Journal by Kogge P, Stone H, A parallel algorithm for the efficient solution of a general class Recurrence relations, IEEE Trans. Computers, Vol.C-22, pp 786-793,Aug. 1973. [5] A Journal by R. Zimmermann, Non-heuristic operation and synthesis of parallel-prefix adders, in International workshop on logic and architecture synthesis, December 1996,pp. 123-132. [6] A Journal by C.Nagendra, M. J. Irwin, and R. M. Owens, Area -Time-Power tradeoffs in parallel adders, Trans. Circuits Syst. II, vol.43, pp.applications 19, 285-298, 2003. [6] A computation, Journal on ACM La.Jolla CA Volume 27 by R. Ladner and M. Fischer, Parallel of ACM.La.Jolla CA,Vol.27,pp.831-838,October 1980. [7] A Journal by Reto Zimmermann on Binary Adder Architectures for Cell-Based VLSIan their Synthesis. Hartung-Gorre, 1998. [8] A Journal by Y. Choi, Parallel Prefix Adder Design, Proc. 17th IEEE Symposium oncomputer Arithmetic,pp 90-98, 27th Jun2005. [9] A conference report on, A taxonomy ofparallel prefix networks, in Signals, Systems and Computers,2003. Conference Record of Thirty Seventh Asilomar Conference D. Harrison, vol. 2, the Nov. 2003,pp.2217. [10] N. H. E. Weste and D. Harris, CMOS VLSI Design, 4th edition, Pearson Addison-Wesley, 2011. [11] H. Ling, High-speed binary adder," IBM Journal of Research and Development, vol. 25,no. 3, pp. 156 March 1981. [12] A paper on K.Vitoroulis and A. J. Al-Khalili Performance of Parallel Prefix Adder Implemented with FPGA technology, IEENortheast Workshop on Circuits and Systems,pp.451-575, Aug. 2007 [13] A paper by D. H. K. Hoe, C. Martinez, and J. Vundavalli, Design and Characterization ofanalogous Prefix Adders using FPGAs, IEE43 rd Southeastern Symposium on System Theory, pp. 167-178,2011 [14] T. Matsunaga, S. Kimura, and Y. Matsunaga. Power-conscious syntheses of parallel prefix adders under bitwise timingconstraints, Proc. the Workshop on Synthesis And System Integration of Mixed Information technologies(sasimi), Sapporo,Japan,October 2011,pp. 11 24. [15] A book by F. E. Fich, New bounds for parallel Prefixcircuits, in Proc. of the 15thAnnu. ACM Symposia. Theory of Computer., 1983,pp.100 109. [16] A journal by D. Gizopoulos, M. Psarakis, A. Paschalis,and Y.Zorian, Easily TestableCellular Carry Look ahead Adders, Journal ofelectronic Testing: Theory and Applications 19, 275-312. 62 P a g e

BIBLOGRAPHY P.S.N Bhaskar received his M.Tech in VLSI from the Andhra University and is working in the Department of Electronics & Communication Engineering in AlwardasGroup in Visakhapatnam. He has a vast 14 years teaching experience He is an Life member of IRED,SDIWC, SCIEI,WAYS ISEIS,EA,IAENG,ISQEM,ISDS, and MISTE. His prime area of interest is on Floor planning of algorithm Techniques in VLSI design. K.M.Manjunath: is an assistant professor & currently working in Yogananda Institute of Technology and Science. He is perused his B.Tech from SITAMS in 2005 and received his M.Tech degree in Electronics and Communication Engineering in the year 2011. As he is in the field of teaching for a long time he has 8 years of teaching experience in VLSI, communication systems, electronic devices and circuits, Pulse and digital circuits. He subject of interest is on VLSI design. 63 P a g e